喻学昊, 方晓东, 游利兵, 王怡哲, 刘墨林, 王豪. 半导体集成电路制造中的准分子激光退火研究进展[J]. 红外与激光工程, 2023, 52(12): 20230285. DOI: 10.3788/IRLA20230285
引用本文: 喻学昊, 方晓东, 游利兵, 王怡哲, 刘墨林, 王豪. 半导体集成电路制造中的准分子激光退火研究进展[J]. 红外与激光工程, 2023, 52(12): 20230285. DOI: 10.3788/IRLA20230285
Yu Xuehao, Fang Xiaodong, You Libing, Wang Yizhe, Liu Molin, Wang Hao. Research progress of excimer laser annealing in semiconductor integrated circuit manufacturing[J]. Infrared and Laser Engineering, 2023, 52(12): 20230285. DOI: 10.3788/IRLA20230285
Citation: Yu Xuehao, Fang Xiaodong, You Libing, Wang Yizhe, Liu Molin, Wang Hao. Research progress of excimer laser annealing in semiconductor integrated circuit manufacturing[J]. Infrared and Laser Engineering, 2023, 52(12): 20230285. DOI: 10.3788/IRLA20230285

半导体集成电路制造中的准分子激光退火研究进展

Research progress of excimer laser annealing in semiconductor integrated circuit manufacturing

  • 摘要: 随着半导体集成电路芯片的尺寸越来越小、结构越来越复杂,芯片制造过程中的退火工艺技术也在不断进步。激光退火以其在芯片制造过程中热预算控制的优势,在芯片制造退火工艺中的重要性正在显现。而准分子激光的特点是波长短、峰值功率高、作用于大多数物质表面时能量迅速被物质表面吸收。准分子激光退火可以实现对材料表面温度梯度的控制,是半导体集成电路制造中热处理工艺的重要选择。对半导体集成电路制造过程中准分子激光退火研究进展进行了综述。概述了集成电路制造中退火工艺热预算控制与激光退火的理论模拟研究结果;着重介绍了准分子激光退火在离子掺杂控制、超浅节形成、沟道外延等材料处理中的研究进展,以及在金属层制备和3D器件中的应用。研究表明,准分子激光退火工艺有望为三维半导体集成电路制造提供新的解决方案。

     

    Abstract:
      Significance   In the dynamic landscape of semiconductor device fabrication, continual advancements strive to enhance the process. As the density of transistors per unit area increases and chip components become progressively smaller, the challenges in chip production grow in both intricacy and difficulty. Traditional methods like furnace annealing are becoming inadequate for the evolving demands of chip manufacturing. To address the intricacies posed by shrinking device sizes, annealing techniques and process parameters undergo constant refinement. Pulsed laser annealing emerges as a noteworthy solution, capable of precisely irradiating specific material areas in extremely brief intervals. This technique, harnessed by absorbing laser energy, rapidly elevates the material surface temperature to induce melting. The consequential reconstruction of the melt layer's crystal structure, coupled with redistributed doping in the crystal, serves the crucial purpose of eliminating defect-activated doping. The excimer laser, operating as a nanosecond pulsed ultraviolet laser, holds distinctive attributes that render it particularly meaningful in semiconductor manufacturing annealing technology. Its short wavelength, narrow pulse width, and minimal material penetration depth, especially in semiconductor materials like silicon, contribute to high absorption rates. Moreover, excimer lasers boast high resolution in focusing or projection, coupled with substantial single-pulse energy. This inherent flexibility allows for shaping the energy distribution of the pulse spot, offering adaptability to diverse requirements. These defining characteristics underscore the significance of excimer laser research in advancing semiconductor manufacturing annealing technologies.
      Progress  To optimize the annealing effect in semiconductor manufacturing, it is crucial to shorten the thermal annealing time window and carefully regulate peak temperatures. Controlling the temperature gradient from the material's surface to its interior is a pivotal consideration in annealing technology. Laser annealing is a superior alternative, offering more precise thermal budget control when compared to other methods, as illustrated in Fig.1. Additionally, the perspective of K. Huet et al. on laser thermal budget is presented. Researchers have explored the application of laser annealing in ion doping and epitaxial layer growth. The evolution of doping concentration across different substrates and dopants under excimer laser conditions has been thoroughly investigated. Brief insights into strain silicon technology and silicon on insulator technology are provided, showcasing their integration into semiconductor manufacturing for enhanced device performance. Excimer lasers have been employed by researchers to delve into devices utilizing strained silicon technology and silicon on insulator technology. In the continuous evolution of semiconductor manufacturing processes, there is ongoing innovation in the metal layer. Laser annealing treatment of the metal layer has garnered increased attention, with the reasons for this emphasis briefly explained. Notably, researchers have scrutinized the annealing of metal layers using excimer lasers. The paragraph concludes by briefly addressing the challenges associated with three-dimensional integrated circuit architecture (refer to Fig.27). Manufacturing three-dimensional integrated circuits poses difficulties, particularly in potential damage to the underlying metal and devices during upper-layer annealing. Excimer lasers have emerged as a research focus to address these challenges and optimize the annealing process for three-dimensional integrated circuits.
      Conclusions and Prospects   Excimer laser annealing stands out as a superior choice when compared to alternative annealing methods, particularly evident in the realm of semiconductor integrated circuit manufacturing. The distinct advantages of excimer laser annealing manifest in its exceptional ability to significantly reduce the thermal budget while enabling precise control over the annealing effect. This accuracy proves pivotal in semiconductor manufacturing processes. Moreover, excimer laser annealing brings noteworthy benefits to the table, including the facilitation of high-density doping with enhanced doping activation efficiency. Its unique capacity to distribute doping atoms more effectively and control junction depth contributes to its prominence in the semiconductor industry. The application of excimer laser annealing on metal layers introduces additional advantages. It effectively augments the grain size of the metal, curbing electron boundary scattering, thereby reducing resistivity. This not only enhances the reliability of the metal but also allows for superior thermal budget control. In the context of three-dimensional integrated circuits, excimer laser technology emerges as a transformative solution. It proves highly adept at reducing the thermal budget, a critical consideration in enhancing device stability within these intricate structures. Furthermore, its promising potential lies in addressing the challenges associated with annealing effects on the dopant distribution of the top layer. Excimer laser annealing, with its multifaceted advantages, thus emerges as a promising and versatile solution for optimizing semiconductor manufacturing processes, particularly in the context of three-dimensional integrated circuits.

     

/

返回文章
返回